TD4シミュレータ

休暇ですっかりプログラミングからご無沙汰となったので、久しぶりにプログラムを作ってみました。そこで、例のCPUであるTD4のシミュレータをSqueakで作ることにしました。

ロジック部分は簡単にできるだろうとタカをくくっていたのですが、実際の回路っぽく構成したところ、結構ハマってしまいました。

設計も適当にでっち上げていたのを少し真剣に考えなおし、フリップフロップへのクロックの与え方に問題があったのを直したことで、とりあえず動くようになりました。

信号で多値を許しているので完全なデジタル回路じゃないですが、回路図にはかなり忠実なのでチェック用に使えるかもしれません。(かなり甘い考えですね)

これからゆっくりインターフェイスを作ります。